Informationssystem der Friedrich-Alexander-Universität Erlangen-Nürnberg
© Config eG
Sammlung/Stundenplan
Modulbelegung
Home
|
Rechtliches
|
Kontakt
|
Hilfe
Suche:
Personen
Einrichtungen
sonstige Einträge
Lehrveranstaltungen
Module
Räume
Publikationen
Forschungsprojekte
Internat. Kontakte
Stellenangebote
Möbel-/Rechnerbörse
Examensarbeiten
Lehr-
veranstaltungen
Personen/
Einrichtungen
Räume
Forschungs-
bericht
Publi-
kationen
Internat.
Kontakte
Examens-
arbeiten
Telefon &
E-Mail
Darstellung
Druckansicht
ausführliche Fassung
Einrichtungen
>>
Technische Fakultät (TF)
>>
Department Elektrotechnik-Elektronik-Informationstechnik (EEI)
>>
Lehrstuhl für Elektronische Bauelemente
2017
Generalized approach to design multi-layer stacks for enhanced optical detectability of ultrathin layers
2016
Amyloidogenic amyloid-β-peptide variants induce microbial agglutination and exert antimicrobial activity
Correlation of film morphology and defect content with the charge-carrier transport in thin-film transistors based on ZnO nanoparticles
Determination of Fowler-Nordheim tunneling parameters in Metal-Oxide-Semiconductor structure including oxide field correction using a vertical optimization method
Empirical cluster modeling revisited
Gettering and Defect Engineering in Semiconductor Technology XVI
Hybrid polymers processed by substrate conformal imprint lithography for the fabrication of planar Bragg gratings
Materials Integration for Printed Zinc Oxide Thin-Film Transistors: Engineering of a Fully-Printed Semiconductor/Contact Scheme
Metastable defects in proton implanted and annealed silicon
Modeling the Post-Implantation Annealing of Platinum
Monolithic 3D TSV-based high-voltage, high-temperature capacitors
Nanoparticles size-dependently initiate self-limiting NETosis-driven inflammation
The efficiency of hydrogen-doping as a function of implantation temperature
TiO2 Nanotubes: Nitrogen-Ion Implantation at Low Dose Provides Noble-Metal-Free Photocatalytic H2-Evolution Activity
Waveguide Bragg gratings in Ormocer hybrid polymers
2015
"Black" TiO2 Nanotubes Formed by High-Energy Proton Implantation Show Noble-Metal-co-Catalyst Free Photocatalytic H2-Evolution
A DLTS study of hydrogen doped czochralski-grown silicon
Assessment of dicing induced damage and residual stress on the mechanical and electrical behavior of chips
Charge pumping measurements on differently passivated lateral 4H-SiC MOSFETs
Comparison of silicon and 4H silicon carbide patterning using focused ion beams
Comprehensive Study of the Electron Scattering Mechanisms in 4{H}-{SiC} {MOSFETs}
Current conduction mechanism of MIS devices using multidimensional minimization system program
Diffusion and segregation model for the annealing of silicon solar cells implanted with phosphorus
Effect of Bulk Potential Engineering on the Transport Properties of SiC MOSFETs: Characterization and Interpretation
Impact of Acceptor Concentration on Electrical Properties and Density of Interface States of 4H-SiC n-Metal-Oxide-Semiconductor Field Effect Transistors Studied by Hall Effect
Impact of fabrication process on electrical properties and on interfacial density of states in 4H-SiC n-MOSFETS studied by hall effect
Improved electrical behavior of ZrO2-based MIM structures by optimizing the O3 oxidation pulse time
Role of Defects in the Dopant Diffusion in Si
Silicon nitride, a high potential dielectric for 600 v integrated RC-snubber applications
Thermo-Mechanical Ball Bonding Simulation with Elasto-Plastic Parameters Obtained from Nanoindentation and Atomic Force Measurements
Tunable conduction type of solution-processed germanium nanoparticle based field effect transistors and their inverter integration
Weiterfuehrende Angebote(opens in a new window)|EZB Elektron. Zeitschriftenbibl.(opens in a new window)|First author search in Pubmed(opens in a new window)| Export | Download | Add to List | More... Nuclear Instruments and Methods in Physics Research, Section B: Beam Interactions with Materials and Atoms Volume 365, 15 December 2015, Pages 240-243 A DLTS study of hydrogen doped czochralski-grown silicon
2014
Analytical stress characterization after different chip separation methods
Bioactivation of plane and patterned PDMS thin films by wettability engineering
Challenges and Opportunities for Process Modeling in the Nanotechnology Era
Characterization and application of 600 v normally-off GaN transistors in hard switching DC/DC converters
Comparison of Si/SiC semiconductor performance using experiment-based simulation
Deep Energy Levels of Platinum-Hydrogen Complexes in Silicon
Deep-level defects in high-dose proton implanted and high-temperature annealed silicon
DLTS characterization of proton-implanted silicon under varying annealing conditions
Effect of shallow n-doping on field effect mobility in p-doped channels of 4H-SiC MOS field effect transistors
Galvanically isolated differential data transmission using capacitive coupling and a modified Manchester algorithm for smart power converters
Hall Factor Calculation for the Characterization of Transport Properties in N-Channel 4H-SiC MOSFETs
High-mobility metal-oxide thin-film transistors by spray deposition of environmentally friendly precursors
Improved electrical behavior of ZrO2-based MIM structures by optimizing the O3 oxidation pulse time
Influence of diverse post-trench processes on the electrical performance of 4H-SiC MOS structures
Investigation of trenched and high temperature annealed 4H-SiC
Modeling Platinum Diffusion in Silicon
Modeling the Annealing of Dislocation Loops in Implanted c-Si Solar Cells,
Nanoscale characterization of TiO2 films grown by atomic layer deposition on RuO2 electrodes
New method to increase the doping efficiency of proton implantation in a high-dose regime
Numerical time-dependent 3D simulation of flow pattern and heat distribution in an ammonothermal system with various baffle shapes
On an Improved Boron Segregation Calibration from a Particularly Sensitive Power MOS Process
Optical polymers with tunable refractive index for nanoimprint technologies
Pulsed direct flame deposition and thermal annealing of transparent amorphous indium zinc oxide films as active layers in field effect transistors
Relaxation of Vacancy Depth Profiles in Silicon Wafers: A Low Apparent Diffusivity of Vacancy Species
Simulation of the Boron Build-up Formation during Melting Laser Thermal Annealing
Systematic Analysis of the High- and Low-Field Channel Mobility in Lateral 4H-SiC MOSFETs
Temperature and electrical field dependence of the ambipolar mobility in n-doped 4H-SiC
Thermo-Mechanical Simulation of Plastic Deformation during Temperature Cycling of Bond Wires for Power Electronics Modules
Thickness mapping of High-k dielectrics at the nanoscale
2013
A Comprehensive Model for the Diffusion of Boron in Silicon in Presence of Fluorine
Accuracy of wafer level alignment with substrate conformal imprint lithography
Activation and dissociation of proton-induced donor profiles in silicon
Alloying of ohmic contacts to n-type 4H-SiC via laser irradiation
Anomalous Impurity Segregation and Local Bonding Fluctuation in I-Si
Application of Artificial Neural Networks to compact mask models in optical lithography simulation
Bimodal CAFM TDDB distributions in polycrystalline HfO2 gate stacks: The role of the interfacial layer and grain boundaries
Characterization of diverse gate oxides on 4H-SiC 3D trench-MOS structures
Charcterization of n-Channel 4H-SiC MOSFETs : Electrical Measurements and Simulation Analysis
Comparative study of n-LIGBT and n-LDMOS structures on 4H-SiC
Conceptional design of nano-particulate ITO inks for inkjet printing of electron devices
Conduction mechanisms in thermal nitride and dry gate oxides grown on 4H-SIC
Detailed leakage current analysis of metal-insulator-metal capacitors with ZrO2, ZrO2/SiO2/ZrO2, and ZrO 2/Al2O3/ZrO2 as dielectric and TiN electrodes
Dopant Dynamics and Defects Evolution in Implanted Silicon under Laser Irradiations: A coupled Continuum and Kinetic Monte Carlo Approach
Electrical impact of the aluminum p-implant annealing on lateral MOSFET transistors on 4H-SiC n-epi
Evaluation of resistless Ga+ beam lithography for UV NIL stamp fabrication
Extended Model for Platinum Diffusion in Silicon
Functional epoxy polymer for direct nano-imprinting of micro-optical elements
Hall effect characterization of 4H-SiC MOSFETs: Influence of nitrogen channel implantation
Impact of a High Temperature Anneal on 4H-SiC Trench Profiles
Influence of Ion Implantation in SiC on the Channel Mobility in Lateral n-Channel MOSFETs
Influence of La on the Electrical Properties of HfSiON: From Diffusion to Vth Shifts
Influence of parasitic capacitances on conductive AFM I-V measurements and approaches for its reduction
Laser alloying nickel on 4H-silicon carbide substrate to generate ohmic contacts
Laser melting of nanoparticulate transparent conductive oxide thin films
Melt Depth and Time Variations during Pulsed Laser Thermal Annealing with One and More Pulses,
On the Calculation of Hall Factors for the Characterization of Electronic Devices
On the Strain Induced by Arsenic into Silicon
On the Temperature Dependence of the Hall Factor in n-Channel 4H-SiC MOSFETs
On the Thermo-Mechanical Modelling of a Ball Bonding Processs with Ultrasonic Softening
Optimization of copper top-side metallization for high performance SiC-devices
Processing of silicon nanostructures by Ga+ resistless lithography and reactive ion etching
Verification of Near-Interface Traps Models by Electrical Measurements on 4H-SiC n-channel MOSFETs
2012
4H-SiC MOSFETs with a stable protective coating for harsh environment applications
A generic approach for comparing input filter efforts of voltage- and current source converters
Amplitude modulated resonant push-pull driver for piezoelectric transformers in switching power applications
Angular distributions of sputtered silicon at grazing gallium ion beam incidence
Beiträge zur Herstellung von MOSFETs in Germaniumschichten
Characterization of grain boundaries in multicrystalline silicon with high lateral resolution using conductive atomic force microscopy
Comparative investigation on installation space requirements for input filters of DC-link- and matrix converters based on amplitude pseudo-spectra
Comparative study of electrical and microstructural properties of 4H-SiC MOSFETs
Efficient laser induced consolidation of nanoparticulate ZnO thin films with reduced thermal budget
Enthalpy based modeling of pulsed excimer laser annealing for process simulation
Evaluation of various compact mask and imaging models for the efficient simulation of mask topography effects in immersion lithography
Feasibility and limitations of anti-fuses based on bistable non-volatile switches for power electronic applications
Ferroelectricity in simple binary ZrO2 and HfO2
Improving module performance and reliability in power electronic applications by monolithic integration of RC-snubbers
In situ monitoring technologies for ammonthermal reactors
Intrinsic MOSFET leakage of high-k peripheral DRAM devices: Measurement and simulation
Life time evaluation of PDMS stamps for UV-enhanced substrate conformal imprint lithography
Modeling boron profiles in silicon after pulsed excimer laser annealing
Modular inverter power electronic for intelligent e-drives
Nano-analytical and electrical characterization of 4H-SiC MOSFETs
Nanosecond polarization switching and long retention in a novel MFIS-FET based on ferroelectric HfO2
Novel cost-efficient contactless distributed monitoring concept for smart battery cells
Novel organic polymer for UV-enhanced substrate conformal imprint lithography
Ohmic and rectifying contacts on bulk AlN for radiation detector applications
Precipitation of Antimony Implanted into Silicon
Purity of ion beams: Analysis and simulation of mass spectra and mass interferences in ion implantation
Reverse recovery of All-SiC switches
Significant on-resistance reduction of LDMOS devices by intermitted trench gates integration
Simple and efficient method to fabricate nano cone arrays by FIB milling demonstrated on planar substrates and on protruded structures
Smart battery cell monitoring with contactless data transmission
Solid-phase epitaxy of silicon amorphized by implantation of the alkali elements rubidium and cesium
Structural and Reliability Analysis of Ohmic Contacts to SiC with a Stable Protective Coating for Harsh Environment Applications
The thermal budget of hydrogen-related donor profiles: Diffusion-limited activation and thermal dissociation
2011
4H-SiC N-MOSFET Logic Circuits for High Temperature Operation
A highly sensitive evaluation method for the determination of different current conduction mechanisms through dielectric layers
A novel PWM control for a bi-directional full-bridge DC-DC converter with smooth conversion mode transitions
Accuracy and performance of 3D mask models in optical projection lithography
Amorphous silicon carbide thin films (a-SiC:H) deposited by plasma-enhanced chemical vapor deposition as protective coatings for harsh environment applications
Analysis of the effect of germanium preamorphization on interface defects and leakage current for high-k metal-oxide-semiconductor field-effect transistor
Characterization of thickness variations of thin dielectric layers at the nanoscale using Scanning Capacitance Microscopy
Charakterisierung und Applikation weichmagnetisch gefüllter Kunststoffe für induktive Komponenten leistungselektronischer Baugruppen
Comparative Study on Metallization an Passivation Materials for High Temperature Sensor Applications
Conduction Mechanisms and Environmentel Sensitivity of Solution-Processed Silicon Nanoparticle Layers for Thin-Film Tranistors
Conversion Efficiency of Radiation Damage Profiles into Hydrogen-Related Donor Profiles
Current Voltage Characteristics through Grains and Grain Boundaries of High-k Dielectric Thin Films Measured by Tunneling Atomic Force Microscopy
Deep Doping Profiles in Silicon Created by MeV Hydrogen Implantation: Influence of Implantation Parameters
Defects Formed by Pulsed Laser Annealing: Electrical Properties and Depth Profiles in n-Type Silicon Measured by Deep Level Transient Spectroscopy
Determination of the Dill parameters of thick positive resist for use in modeling applications
Die Finite Integrationstechnik (FIT) und die Anwendung in der Lithographie-Simulation
Dielectric layers suitable for high voltage integrated trench capacitors
Dopant profiles in silicon created by MeV hydrogen implantation: Influence of annealing parameters
Effects of Oxygen and Forming Gas Annealing on ZnO TFTs
Enhancement of Stability of Ti and Ni Ohmic Contacts to 4H-SiC with a Stable Protective Coating for Harsh Environment Applications
EPR investigations of non-oxidized silicon nanoparticles from thermal pyrolysis of silane
Experiments and simulation of the diffusion and activation of the n-type dopants P, As, and Sb implanted into germanium
Feasibility study of chemically amplified resists for short wavelength extreme ultraviolet lithography
Ferroelectric Zr0.5Hf0.5O2 thin films for nonvolatile memory applications
Ferroelectricity in yttrium-doped hafnium oxide
Fluorine implantation for effective work function control in p-type metal-oxide-semiconductor high-k metal gate stacks
Gate oxide reliability at the nano-scale evaluated by combining cAFM and CVS
Germanium substrate loss during thermal processing
High pressure oxidation of 4H-SiC in nitric acid vapor
Hochvolt MOS-Transistoren (50V) mit integrierter Temperaturüberwachung zur Realisierung mit einem 0,18µm CMOS-Prozess
Impact of carbon junction implant on leakage currents and defect distribution: Measurement and simulation
Implication of oxygen vacancies on current conduction mechanisms in TiN/Zr1-xAlxO2/TiN metal-insulator-metal structures
Influence of Annealing Parameters on Surface Roughness, Mobility and Contact Resistance of Aluminium Implanted 4H SiC
Innovations in structured thin film design and fabrication for optical applications
Integration of trench gate technology into planar LDMOS topology
Investigation of the reliability of 4H–SiC MOS devices for high temperature applications
Lanthanoid Implantation for Effective Work Function Control in NMOS High-kappa/Metal Gate Stacks
Leakage current and defect characterization of p+n-source/drain diodes
Light confinement by structured metal tips for antenna-based scanning near-field optical microscopy
Manufactoring, characterization, and application of nanoimprinted metallic probe demonstrators for electrical scanning probe microscopy
Modeling of pulsed laser annealing for process simulation
Modulares Steuerungskonzept für integrierte Messtechnik in der Halbleiterfertigung am Beispiel einer Mehrkammerprozessanlage
Monolithic RC-snubber for power electronic applications
Monolithisches RC-Element für leistungselektronische Anwendungen
Ohmic and rectifying contacts on bulk AlN for radiation detector applications (Poster)
On the Influence of RTA and MSA Peak Temperature Variations on Schottky Contact Resistances of 6-T SRAM Cells
Profile Rod Technique: Continuous Manufacture of Submicrometer-Thick Ceramic Green Tapes and Coatings Demonstrated for Nanoparticulate Zinc Oxide Powders
Properties of SiO2 and Si3N4 as Gate Dielectrics for Printed ZnO Transistors
Purity of Ion Beams: Analysis and Simulation of Mass Spectra and Mass Interferences in Ion Implantation
roelectricity in yttrium-doped hafnium oxide
Simulation of Plasma Immersion Ion Implantation
Thermal characterization of an axle-twin-drive with system integrated double-inverter
Threading dislocations in n- and p-type 4H-SiC material analyzed by etching and synchrotron X-ray topography
Tuning of Charge Carrier Density of ZnO Nanoparticle Films by Oxygen Plasma Treatment
Verbinden von Leistungshalbleiterbauelementen durch Sintern von nanoskaligen Silberpartikeln
ZnO Dünnfilmtransistoren für druckbare Elektronik
2010
A Highly Integradted EMI Filter Using Polymer Bonded Soft Magnetics as Core Material.
A Highly Integrated EMI Filter Using Polymer Bonded Soft Magnetics As Core Material
Abscheidung und Charakterisierung metallischer Gateelektroden für zukünftige CMOS-Technologien
Acid diffusion effects between resists in freezing Processes used for contact hole imaging
Carbon junction implant: Effect on leakage currents and defect distribution
Characterization of Arsenic Segregation at Si/SiO2 Interface by 3D Atom Probe Tomography
Characterization of the Scattering Effect of Complex Mask Geometries with Surface Roughness
Characterization of the Scattering Effect of Complex Mask Geometries with Surface Roughness
Chemische Gasphasenabscheidung von Metallsilicatschichten aus Einquellen-Ausgangsstoffen für Anwendungen in der Mikroelektronik
Comprehensive study of focused ion beam induced lateral damage in silicon by scanning probe microscopy techniques
Computational algorithms for optimizing mask layouts in Proximity Printing
Coupling of Equipment and Feature-Scale Profile Simulation for Dry-Etching of Polysilicon Gate Lines
Coupling of Equipment and Feature-Scale Profile Simulation for Dry-Etching of Polysilicon Gate Lines
Coupling of Monte Carlo Sputter Simulation and Feature-Scale Profile Simulation and Application to the Simulation of Back Etching of an Intermetal Dielectric
Deep doping profiles in silicon created by MeV proton implantation: Influence of implantation parameters
Defects formed by pulsed laser annealing: electrical properties and depth profiles in n-type silicon measured by DLTS
Determination of the Dill parameters of thick positive resist for use in modeling applications
Dielectic Layers suitable for high voltage integrated trench capacitors
Dislocation Conversion and Propagation during Homoepitaxial Growth of 4H-SiC
Dopant profiles in silicon created by MeV proton implantation: Influence of annealing parameters
Effective Work function tuning in high-k dielectric metal-oxide-semiconductor stacks by fluorine and lanthanide doping
Efficient simulation of three-dimensional EUV masks for rigorous source mask optimization and mask induced imaging artifact analysis
Efficient Simulation of three-dimensional EUV Masks for rigorous Source Mask Optimization and Mask Induced Imaging Artifact Analysis
Effizienzoptimierung integrierter elektrischer Antriebssysteme für Hybrid- und Elektrofahrzeuge
Electrical scanning probe microscopy techniques for the detailed characterization of high-k dielectric layers
Evaluation of materials for printed electronics
Evaluation of NbN thin films grown by MOCVD and plasma-ehhanced ALD for gate electrode application in high-k/SiO2 gate stacks
Evolution of Shear Strength and Microstructure of Die Bonding Technologies for High Temperature
Evolution of Solder Joint Strength and Microstructure of High Temperature Lead Free Solders for SiC applications During Thermal Aging
Experiments and simulation of the diffusion and activation of the n-type dopants P, As, and Sb implanted into germanium
Extraordinary low transmission of a metamaterial for application in lithography
Fabrication of metakkic SPM Tipps by combining UV nanoimprint lithography and focused ion beam processing
Fast and highly accurate simulation of the printing behavior of EUV multilayer defects based on different models
Fast and highly accurate simulation of the printing behavior of EUV multilayer defects based on different models
Full Wafer Micolens, Repplication by UV Imprint Lithography
Future challenges in CMOS process modelling
Germanium substrate loss during thermal processing
Heat: Basics, Examples, Heat-Exchange
Highly sensitive wavefront sensor for visual inspection of bare and patterned silicon wafers
Honeycomb Voids due to Ion Implantation in Germanium
Impact of forming gas annealing on ZnO-TFTs
Impact of Technological Options for 22 nm SOI CMOS Transistors on IC Performance
Impact of temperature increments on tunneling barrier height and effective electron mass for plasma nitrided thin SiO2 layer on a large wafer area
Influence of annealing temperature and measurement ambient on TFTs based on gas phase synthesized ZnO nanoparticles
Influence of FIB patterning strategies on the shape of 3D structures: Comparison of experiments with simulations
Innovations in structured thin film design and fabrication for optical applications
Integrierbare Bauelemente zur Erhöhung der Betriebssicherheit elektronischer Systemkomponenten im Automobil
Ladeinfrastruktur für Elektrofahrzeuge
Lithography induced layout variations in 6-T SRAM cells
Mask and Wafer Topography Effects in Optical and EUV-Lithography
Mask and Wafer Topography Effects in Optical and EUV-Lithography
Mask diffraction analysis and optimization for EUV masks
Mask Models for the Imaging of Contact Holes in Optical Projection Lithography
Mask-topography-induced phase effects and wave aberrations in optical and EUV lithography
Mask-topography-induced phase effects and wave aberrations in optical and EUV lithography
Mask-topography-induced phase effects and wave aberrations in optical and extreme ultraviolet lithography
Modeling of double patterninginteractions in litho-curing-litho-etch (LCLE) processes
Modeling of double patterninginteractions in litho-curing-litho-etch (LCLE) processes
Modeling of exploration of reversible contrast enhancement layers for double exposure lithography
Modeling of mask diffraction and projection imaging for advanced optical and EUV lithography
Modeling of the effectiv work funktion instability in metal/high-k dielectric stacks
Modelling of exploration of reversible contrast enhancement layers for double exposure lithography
NMOS Logic Circuits Using 4H-SiC MOSFETs for High Temperature Applications
Optical inspection of flat reflective surfaces by a wave front sensor
Optimization of illumination and mask layout for mask aligner lithography
Optimization of illumination pupils and mask strucures for proximity printing
Polymer bonded soft magnetics for EMI filter applications.
Power Electronics System Integration for Electric and Hybrid Vehicles
Properties of SiO2 and Si3N4 as Gate Dielectrica for Printed ZnO Transistors
Reduced on restistance in LDMOS devices by integrating trench gates into planar technology
Review of Stress Effects on Dopant Solubility in Silicon and Silicon-Germanium Layers
Rigorous EMF simulation of absorber shape variations and their impact on the lithographic process
Rigorous EMF simulation of absorber shape variations and their impact on the lithographic process
Semiconductor Joining through Sintering of Ag-Nanoparticles: Analysis of Different Powders Using DSC and TGA Measurements
Semiconductor Joining through Sintering of Ag-Nanoparticles: Analysis of Different Powders Using DSC and TGA Measurements
Simulation of 3D inclined/rotated UV lithography and its applications to microneedles
Simulation of Focused Ion Beam Etching by Coupling a Topography Simulation and a Monte-Carlo Sputtering Yield Simulation
Soft Magnetic Polymers - an Attractive Material for EMI Filter Applications
The Impact of Helium Co-Implantation on Hydrogen Induced Donor Profiles in Float Zone Silicon
The Impact of Helium Co-Implantation on Hydrogen Induced Donor Profiles in FZ Si
Topography aware BARC optimazing for double patterning
Topography aware BARC optimazing for double patterning
Trench gate integration into planar technology for reduced on-resistance in LDMOS devices
Tuning of Charge Carrier Density of ZnO Nanoparticle Films by Oxygen Plasma Treatment
Tuning of Charge Carrier Density of ZnO Nanoparticle Films by Oxygen Plasma Treatment
2009
(Poster) Influence of FIB patterning strategie on the shape of 3D structures: Comparison of experiments with simulations
A model of self-limiting residual acid diffusion for pattern doubling
Acid diffusion effects between resists in freezing processes used for contact hole patterning
Advanced lithography models for strict process control in the 32nm technology node
Analysis of the DC-arc behavior of a novel 3D-active fuse
Application of Thermal Conductive Polymers for the Housing of Mechatronic Systems
Case Study III: Polymer Heatsinks
Characterization of Organic Contamination during Semiconductor Manufacturing Processing
Comparative study between conventional macroscopic IV techniues and advanced AFM based methods for electrical characterization of dielectrics at the nanoscale
Comparison between 65nm Balk and PD-SOI MOSFET's:Si/BOX Interface Effect on Point Defects and Doping Profiles
Current transport in nanoparticulate layer systems
Die Bedeutung der Leistungselektronik für elektriche Straßenfahrzeuge
Dünnschichtfeldeffekttransistor auf Basis von ZnO-Nanopartikeln
Effective Work Function Engineering by Lanthanide Ion Implantation of MOS Gate Stacks
Efficient Analysis of Three Dimensional EUV Mask Induced Imageing Artifacts Using the Waveguide Decomposition Method
Efficient analysis of three-dimensional EUV mask-induced imaging atrifacts using the waveguide decomposition method
Efficient simulation and optimization of wafer topographies in double patterning
Efficient simulation and optimization of wafer topographies in double patterning
Electrical conduction in silicon nanoparticle networks under exposure to different atmospheres and vacuum
Exploration of linear and non-linear double exposure techniques by simulation
Exploration of linear and non-linear double exposure techniques by simulation
Extended Abbe approach for fast and accurate lithpgraphy imaging simulations
Extended Abbe aproachfor fast and accurate lithography imaging simulations
Extraordinary low transmission effects for ultra-thin patterned metal films
Finite integration (FI) method for modeling optical wavers in lithography masks
Finite integration (FI) method for modeling optical wavers in lithography masks
Future Challenges in CMOS Process Modeling
Highly sensitive wave front sensor for characterization of micro- to nanometer scale surface flatness deviations
Hochgefüllte Kunststoffe für die Leistungselektronik
Honeycomb Voids due to Ion Implantation in Germanium
Hybrid- und Elektroantriebe für Automobile - neue Anforderungen an die Leistungselektronik
Impact of Physical and Chemical Treatment on Si Nanoparticulate Systems
Improved manufacturability of ZrO2 MIM capacitors by process stabilizing HfO2 addition
Influence of Dispersion and Ambient Conditions on Nanoparticulate ZnO-TFTs
Innovative Materials-Key Enabler for High-Performance Power Electronics
Lanthanum implantation for threshold voltage control in metal/high-k devices
Lithographic importance of base diffusion in chemically amplied photorestists
Lithography Simulation: modeling techniques and selected applications
Lithography Simulation: modelling techniques and selected applications
Mask diffration analysis and optimization for EUV masks
Mask diffration analysis and optimization for EUV masks
Material properties of injection molded polymer bonded soft magnetics: a process dependent anisotropic characteristic
MD3: Material Development for Double patterning and Double exposure
Mechanische Integration von Leistungselektronik in die Antriebseinheit eines Axle-Split-Hybrids
Modeling and simulation of post exposure bake processes in double patterning
Modeling of Mask and Wafer Side Scattering Effects in Advanced Optical and EUV-Lithography
Montageerleichterung durch den Einsatz wärmeleitfähiger Kunststoffe
Optical lithography beyond the Abbe resolution limit: Double exposure and double patterning
Optimization of illumination pupils and mask features for proximity printing
Optimizing illumination pupil and mask layout in mask aligner lithography
PD-SOI MOSFETs: Interface Effect on Point Defects and Doping Profiles
Perspectives of Inverter Integration in Vehicle Powertrains
Perspectives of Inverter Integration in Vehicle Powertrains
Polymer bonded soft magnetics for EMI-filter applications
Polymer bonded soft magnetics for EMI-filter applications
Review of Stress Effects on Dopant Solubility in Silicon and Silicon-Germanium Layers
Review of Stress Effects on Dopant Solubility in Silicon and Silicon-Germanium Layers
Rigorous diffraction simulations of topographic wafer stacks in double patterning
Silicon Based Trench Hole Power Capacitor
Simulation lithographischer Prozesse zur Erzeugung von Nanostrukturen
Soft-Magnetic Polymers
Substrate Surface Preparation and Aluminum-Induced Layer Exchange for Improvement of TFT properties
Suppression of parasitic electron injection in silicon-oxide-nitride-oxide-silicon-type memory cells using high-k capping layers
Virtual Equipment Engineering: A Novel Approach for the Integrated Development of Semiconductor Manufacturing Equipment
Wafer topography and multiple exposure effects in dual restist double patterning processes
2008
23 Jahre Fraunhofer Institut für Integrierte Systeme und Bauelementetechnologie (IISB) und Lehrstuhl für Elektronische Bauelemente (LEB)
A comprehensive resist model fort he prediction of line-edge roughness material and process dependencies in optical lithography
A comprehensive resist model fort he prediction of line-edge roughness material and process dependencies in optical lithography
A model of self-limiting residual acid diffusion for pattern doubling
A model of self-limiting residual acid diffusion for pattern doubling
Advanced Activation Trends for Boron and Arsenic by Combinations of Single, Multiple Flash Anneals and Spike Rapid Thermal Annealing
Advanced Annealing Strategies for the 32 nm Node
Advanced Annealing Strategies for the 32 nm Node
Advanced lithography models for strict process control in the 32nm technology node
Advanced lithography models for strict process control in the 32nm technology node
Aktive Elemente: Schalter- Eigenschaften, Ansteuerung und Schutz
Aktive Elemente: Schalter-Eigenschaften, Ansteuerung und Schutz
Alternative Source/Drain Contact-Pad Architectures for Contact Resistance Improvement in Decananao Scales DMOS Devices
Alternative Source/Drain Contact-pad Architectures for Contact Resistance Improvement in Decanano-scaled DMOS Devices
An Application-driven Improvement of the Drift-Diffusion Model for Carrier Transport in Decanano-scaled CMOS Devices
Anforderungsgerechte Auslegung von Leistungselektronik im Antriebsstrang
Anforderungsgerechte Auslegung von Leistungselektronik im Antriebsstrang
Anforderungsgerechte Auslegung von Leistungselektronik im Antriebsstrang
Angular Distributions of Sputtered Atoms from Semiconductor Targets at Grazing Ion Beam Incidence Angles
Angular Distributions of Sputtered Atoms from Semiconductor Targets at Grazing Ion Beam Incident Angles
Application driven Simulation of Nanoscaled CMOS Transistors and Circuits
Barrier Inhomogeneities of Tungsten Schottky Diodes on 4H-SiC
Benchmark of rigorous methods for electromagnetic field simulations
Benchmark of rigorous methods for electromagnetic field simulations
Bidirectional DC Voltage Conversion for Low Power Applications
Characterization of Ru and RuO_2_ Thin Films Prepared by Pulsed Metal Organic Chemical Vapor Deposition
Control and Optimization of Ru-deposition by Pulsed MOCVD Using Mass Spectroscopy
Control and Optimization of Ru-deposition by Pulsed MOCVD Using Mass Spectroscopy
Custom-specific UV Nanoimprint Templates and Life-time of Antisticking Layers
Das Zentrum für KFZ-Leistungselektronik und Mechatronik - Neue Wege zum Hybrid-Antrieb
DC-Arc Behavior of a Novel Active Fuse
Detailed arsenic concentration profiles at Si/SiO_2_ interfaces
Detailed arsenic concentration profiles at Si/SiO_2_ interfaces
Detailed Carrier Lifetime Analysis of Iron-Contaminated Boron-doped Silicon by Comparison of Simulation and Measurement
Dispersing and stabilizing semiconducting nanoparticles (Posterpräsentation)
Distribution and segregation of arsenic at the SiO_2_ /Si interface
Electrical AFM Techniques for the Advanced Characterization of Materials in Semiconductor Technology
Electrical and Topographical Characterization of Aluminium Implanted Layers in 4H Silicon Carbide
Elektronische Bauelemente auf nanopartikulärer Basis
Entwicklung und Charakterisierung eines CMOS-Prozesses mit minimierter Anzahl an Lithographieebenen
Entwicklung von Verfahren zur Kontrolle von Plasmaätzprozessen mittels in situ-Ellipsometrie und optischer Emissionsspektroskopie
Evaluation of lithographic processes in terms device performance data – a simulation study
Evaluation of lithographic processes in terms device performance data – a simulation study (Poster)
Experimental Investigations and Simulation of the Deactivation of Arsenic during Thermal Processes after Activation by SPER and Spike Annealing
Experimental Observation of FIB Induced Lateral Damage on Silicon Samples
Extraordinary low transmission effects for ultra-thin patterned metal films
Fabrication and Characterization of Nanoparticulate ZnO-TFTs (Posterpräsentation)
Fast rigorous simulation of mask diffraction using the waveguide method with parallelized decomposition technique
Fast rigorous simulation of mask diffraction using the waveguide method with parallelized decomposition technique
HfSiO/SiO2- and SiO2/HfSiO/SiO2-Gate Stacks for non-volatile memories
High yield aerosol synthesis of monodisperse, high-purity silicon nanoparti-cles
High Yield Aerosol Synthesis of Monodisperse, High-purity Silicon Nanoparticles
High-k: latest developments and perspectives
Highly Filled Polymers for Power Passives Packaging
Highly Filled Polymers for Power Passives Packaging
Hightech-Materialien für die Elektronik von morgen
Hightech-Materialien und Hybridantriebe - Forschung für die Elektronik von morgen
Impact of Physical and Chemical Treatment on Si Nanoparticulate Systems (Posterpräsentation)
Increasing the Predictability of AIMS Measurements by Coupling to Resist Simulations
Increasing the predictability of AIMS measurements by coupling to resist simulations (Poster)
Influence of the Oxidation Temperature and Atmosphere on the Reliability of Thick Gate Oxides on the 4H-SiC C(000-1) face
Ink and Surface Modification for Printing of Nanoparticulate Functional Structures
Ion Implantation Into Nanoparticulate Functional Layers
Ion Implantation into Nanoparticulate Functional Layers
Ion Implantation Into Nanoparticulate Functional Layers (Posterpräsentation)
Leistungselektronik - Ein Schlüssel für Energieeffizienz und Mobilität
Lithographic importance of base diffusion in chemically amplified photoresists
Lithographic importance of base diffusion in chemically amplified photoresists
Lithography simulation
Lithography simulation: modeling techniques and selected applications
Losses in Power Electronic Systems
Low pressure gas phase synthesis of monodisperse, high-purity Si building blocks
Mask topography effects: modeling techniques and impact on lithographic processes
Materials and Processes for Printed Electronics
Mesoscopic Simulation of Photoresist Processing in Optical Lithography
Methode zur orts- und zeitaufgelösten Temperaturmessung und -steuerung bei großflächigen MOS-Leistungshalbleiterbauelementen
Modeling and Simulation of Advanced Annealing Processes
Modeling the Diffusion and Activation of Arsenic in Silicon Including Clustering and Precipitation
Moore's Law: Wie geht es mit Bauelementen und Materialien für die Nanoelektronik weiter
On a Computationally Efficient Approach to Boron-Interstitial Clustering
On the Stability of Fully Depleted SOI MOSFETs Under Lithography Process Variations
Optical lithography for semiconductor fabrication: basics, resolution enhancement techniques, and selected problems
Optimization of mask absorber stacks and illumination settings for contact hole imaging
Optimization of mask absorber stacks and illumination settings for contact hole imaging
Optische Lithographie in der Halbleiterherstellung: Grundlagen, Techniken zur Verbesserung der Auflösung und ausgewählte aktuelle Problemstellungen
Performance Optimization of Semiconductor Manufacturing Equipment by the Application of Discrete Event Simulation
Photomasks for semiconductor lithography: from simple shadow casters to complex 3D scattering sbjects
Polymer bonded soft magnetic particles for planar inductive devices
Pre-Silicon SPICE Modeling on Nano-Scaled SOI MOSFETs
Pre-Silicon SPICE Modeling on Nano-Scaled SOI MOSFETs (Poster)
Printing Electronics - Printed Electronics Projects at LEB and FhG IISB
Process Models for Advanced Annealing Schemes and their Use in Device Simulation
Process Models for Advanced Annealing Schemes and Their Use in Device Simulation
Project Overview, Management, and Response to the Recommendations of the 1st Review
Properties of TaN Thin Films Produced Using PVD Linear Dynamic Deposition Technique
Rapid Thermal Processing and beyond: Applications in Semiconductor Processing
Recent Improvements in the Integration of field Emitters into Scanning Probe Microscopy Sensors
Rigorous diffraction simulations of topographic wafer stacks in double patterning
Rigorous diffraction simulations of topographic wafer stacks in double patterning (Poster)
Rigorous Electromagnetic Field Simulation of Two-Beam Interference Exposures for the Exploration of Double Patterning and Double Exposure Scenarios
Rigorous electromagnetic field simulation of two-beam interference exposures for the exploration of double patterning and double exposure scenarios (Poster)
Ruthenium and Ruthenium Oxide Thin Films Prepared by Atomic Vapor Deposition for MIM Applications
Schichten hoher Dielektrizitätskonstante für den Einsatz in ladungsbasierten nichtflüchtigen Speicherzellen
Segregation of Antimony to Si/SiO_2_ Interfaces
Segretation onf Antimony to Si/SiO_2_ Interfaces
Selected topics in advanced lithography simulation
Self-Aligned Growth of Organometallic Layers for Nonvolatile Memories: Comparison of Liquid-Phase and Vapor-Phase Deposition
Simulation of mask proximity printing
Simulation of Mass Interferences Considering Charge Exchange Events and Dissociation of Molecular Ions During Extraction
Simulation of the Deactivation of Arsenic During Thermal Processes after Activation by SPER
Simulation-based EUV source and mask optimization
Simulation-based EUV source and mask optimization
SSRM Characterisation of FIB Induced Damage in Silicon
Summary
Suppression of Parasitic Electron Injection in SONOS type Memory Cells Using High k Capping Layers
Suppression of parasitic electron injection in SONOS-type memory cells using high-k capping layers
Threshold Voltage Engineering by Lanthanide Doping of the MOS Gate Stack
Total reflection x-ray fluorescence as a sensitive analysis method for the investigation of sputtering processes
Tunneling atomic-force microscopy as a highly sensitive mapping tool for the characterization of film morphology in thin high-k dielectrics
Untersuchung der UV-Nanoimprint-Lithografie als Strukturierungsverfahren für elektronische Bauelemente
UV Nanoimprint Lithography Process Optimization for Electron Device Manufacturing on Nanosized Scale
2007
A18 - a novel 0.18 mm Smart Power SOC IC Technology for Automotive Applications
Advanced Activation and Deactivation of Arsenic-Implanted Ultra-Shallow Junctions Using Flash and Spike + Flash Annealing
Characterization of the impurity profile at the SiO2/Si interface using a combination of total reflection X-ray fluorescence spectrometry and successive etching of silicon,
Characterization of the Pile-Up of As at the SiO2 / Si Interface
Characterization of the Pile-Up of As at the SiO2/Si Interface
Characterization of the Segregation of Arsenic at the Interface SiO2/Si
Characterization of the Segregation of Arsenic at the Interface SiO2/Si
Chemical vapor deposition of tantalum nitride films for metal gate application using TBTDET and novel single-source MOCVD precursors
Custom-specific UV nanoimprint templates and life-time of antisticking layers
Detailed Photocurrent Analysis of Iron Contaminated Boron Doped Silicon by Comparison of Simulation and Measurement
Diffusion and Deactivation of As in Si: Combining Atomistic and Continuum Simulation Approaches
Diffusion and Deactivation of As in Si: Combining Atomistic and Continuum Simulation Approaches
Entwicklung und Charakterisierung eines CMOS-Prozesses mit minimierter Anzahl an Lithographieebenen
Experimental and theoretical results of dopant activation by a combination of spike and flash annealing
Hafnium silicate as control oxide in non-volatile memories
Hafnium silicate as control oxide in non-volatile memories
High Temperature Implantation of Aluminum in 4H Silicon Carbide
Impurity Conduction in Silicon Carbide
Integrierbare Bauelemente zur Erhöhung der Betriebssicherheit elektronischer Systemkomponenten im Automobile
Investigation of high-resolution contact printing
Lateral HV-MOS Transistors (50 V) for Integration in a 0.18 mm CMOS-Process
MOCVD of Hafnium Silicate Films Obtained from a Single-Source Precusor on Silicon and Germanium for Gate-Dielectric Applications
MOCVD of TaN Using the All-Nitrogen-Coordinated Precursors
MOCVD of tantalum nitride thin films from TBTEMT single source precursor as metal electrodes in CMOS applications
Modeling the Diffusion and Activation of Arsenic in Silicon Including Clustering and Precipitation
Modeling the Diffusion and Activation of Arsenic in Silicon Including Clustering and Precipitation
Motorintegrierte Leistungselektronik: Strukturflexibel mechatronisch integrierbare passive elektronische Bauelemente
Nanopartikuläre Halbleiterschichten: Leitungsmechanismen und Bauelemente
On a Computationally Efficient Approach to Boron-Interstitial Clustering
On a Computationally Efficient Approach to Boron-Interstitial Clustering
Optimization of the Quartz Template Fabrication for UV Nanoimprint Lithography
Photostrom-Spektroskopie von Silicium im Volumen und an der Grenzfläche zu Siliciumdioxid
Polarity asymmetry of stress and charge trapping behavior of thin Hf- and Zr-silicate layers
Proximitiy printing - Simulation und Anwendung
Quantitative oxide charge determination by photocurrent analysis
Self-Aligned Growth of Organometallic Layers for Non-Volatile Memory Application
Silicon Technology
Simulation of mask proximity printing
Simulation of proximity and contact lithography
Synthesis of functional nanoparticles
Tuning the dielectric properties of hafnium silicate Films
TXRF as a sensitive analysis method for the investigation of sputtering processes
Untersuchung von Ausheilverfahren für Aluminium-implantierte Schichten in 4H-Siliciumcarbid
Upcoming Challenges for Process Modeling
Upcoming Challenges for Process Modeling
UV nanoimprint materials: Surface energies, residual layers, and imprint quality
2006
Active Fuse
Approach for a Standardized Methodology for Multi-site Processing of 300mm Wafers at R&D-Sites
Automobilelektronik am LEB und IISB
Bavarian Research Cooperation for Nanoeletronics
Chemical Vapor Deposition of Tantalum Nitride Films for Metal Gate Application using TBTDET and Novel Single-Source MOCVD Precursors
Correlation between defects, leakage currents and conduction mechanisms in thin high-k dielectric layers
Creation of E-Learning Content for Microelectronics Manufacturing
Creation of E-Learning Content for Microelectronics Manufacturing
Diffusion and Activation of Dopants in Silicon and Advanced Silicon-Based Materials
Dreidimensionale Topographiesimulation der ionisierten Metallplasma-Abscheidung in der Halbleitertechnologie
Dreidimensionale Topographiesimulation der ionisierten Metallplasma-Abscheidung in der Halbleitertechnologie
Entwicklung und Charakterisierung eines CMOS-Prozesses mit minimierter Anzahl an Lithographieebenen
European Approaches to Process Simulation in USJ Technology
Extracting Activation and Compensation Ratio from Aluminium Implanted 4H-SiC by Modeling of Resistivity Measurements
Flash annealing technology for USJ: Modeling and metrology
Fraunhofer at the Nanoworld: Creating Synergies for Optimizing Applications and Enabling New Ones
Fraunhofer Nanotechnology in Germany and Europe
German High-Tech-Corridor in Nanoelectronics Technology Research
Hafnium Silicate Layers as High-k Dielectrics for Future CMOS Generations
HfSiO/SiO2- and SiO2/HfSiO/SiO2-Gate Stacks for non-volatile memories
High Temperature Implantation of Aluminium in 4H Silicon Carbide
History and Perspective of Integrated Metrology
History and Perspective of Integrated Metrology
Introduction to Technology Simulation
Ion Implantation and Annealing for an Efficient N-Doping of TiO2 Nanotubes
Kontaminationsprozesse in der Ionenimplantation
Lösen neue Materialien die Probleme der Mikro- und Nanoelektronik
Mask Proximity Printing
Modeling and Simulation
Modeling and Simulation
Nanoscale morphology and photoemission of arsenic implanted germanium films
Nanotechnology at Fraunhofer: Materials & Applications in Electronics
Neue Methoden zur automatischen Kalibrierung von Modellparametern für die Simulation optischer Lithographie
Neue Methoden zur automatischen Kalibrierung von Modellparametern für die Simulation optischer Lithographieprozesse
Numerical Simulation of Advanced CMOS Devices
Numerische Simulation der Diffusion und Aktivierung von Bor bei Hot-Shield Spike Ausheilung
Optimization Methods in Lithography Simulation
Optimization of Lithographic Conditions by Means of Artificial Evolution
Pattern effects with the mask off
Process-Induced Diffusion Phenomena in Advanced CMOS Technologies
Process-Induced Diffusion Phenomena in Advanced CMOS Technologies
Quantitative Oxide Charge Determination by Photocurrent Analysis
Quantum Mechanical Studies of Boron Clustering in Silicon
Segregation von Arsen an Silicium-Siliciumdioxidgrenzschichten
Semiconductor Technology Simulation Down to the Nanolevel
Semiconductor Technology Simulation: Industrial Requirements, Technology Roadmaps and the R&D
Simulation of Activation and Diffusion of Boron in Silicon
Simulation of Ion Beam Direct Structuring for 3D Nanoimprint Template Fabrication
Stress Induced Leakage Currents and Charge Trapping in Thin Zr- and Hf-Silicate Layers
The Impact of Mass Resolution on Molybdenum Contamination for B, P, BF2 and As Implantations
Trends in European R&D - Advanced Process Control Down to Atomic Scale for Micro- and Nanotechnologies
Untersuchung von Aufladungseffekten bei der Ionenimplantation
Untersuchung von Aufladungseffekten bei der Ionenimplantation
Well Design in a Bulk CMOS Technology with Low Mask Count
2005
Ab Initio Identification of the Nitrogen Diffusion Mechanism in Silicon
Additional Peaks in Mass Spectra Due to Charge Exchange Events and Dissociation of Molecular Ions During Extraction
Advanced activation of ultra-shallow junctions using flash-assisted RTP
Aluminium Nitride Thin Films for a Micromechanical Ultrasonic Liquid Nebulizer
An Asymmetry of Conduction Mechanisms and Charge Trapping in Thin High-k HfxTiySizO Films
Annealing of Aluminium Implanted 4H-SiC: Comparison of Furnace and Lamp Annealing
Characterisation of the Impurity Profile at the Interface SiO_2_/ Si Using a Combination of Total Reflection X-Ray Fluorescence Spectrometry and Successive Etching of Silicon
Characterization of Interface State Densities by Photocurrent Analysis: Comparison of Results for Different Insulator Layers
Characterization of Interface State Denisties by Photocurrent Analysis: Comparison of Results for Different Insulator Layers
Charakterisierung von Titandioxidschichten abgeschieden aus neuentwickelten Precursoren mittels MOCVD
Charakterisierung von Titandioxidschichten abgeschieden aus neuentwickelten Precursoren mittels MOCVD
Chemische Dampfphasenabscheidung von neuen Materialien für Sub-50-nm-Transistoren
Conduction Mechanisms and an Evidence for Phonon-assisted Conduction Process in Thin high-k HfxTiySizO films
Der Fraunhofer-Verbund Mikroelektronik und die Forschungs- und Entwicklungsschwerpunkte
Design & Simulation in der Nanoelektronik
Die SOA von integrierten Hochvolt MOS-Transistoren bestimmende bauelementphysikalische Effektte
Diffusion and Activation of Dopants in Silicon and Advanced Silicon-Based Materials
Diffusion und Aktivierung von Bor in Silicium
Distribution, Segregation and Dose-Loss of Dopants in Deca Nanometer SOI Structures
Effekte bei der Nanostrukturierung mittels fokussierter Ionenstrahlen
Electrical properties of hafnium silicate films obtained form a single-source MOCVD precursor
Entwicklung, Herstellung und Charakterisierung von integrierbaren Leistungsbauelementen und einer Trench-Gate Technologie
Fraunhofer Nanotechnology Beyond Electronics: Making small things effective
Front-End Models for Silicon Future Technology (FRENDTECH)
Herstellung und Untersuchung von Metall-Isolator-Halbleiter (MIS)-Kondensatoren mit hoch-epsilon Isolatorschichten auf Germanium
High-k Hafnium Silicate Films on Silicon and Germanium Wafers by MOCVD Using a Single-Source Precursor
High-k Hafnium Silicate Films on Silicon and Germanium Wafers by MOCVD Using a Single-Source Precursor
Implantation and Annealing of Aluminium in 4H Silicon Carbide
Improved Mask and Source Representations for Automatic Optimization of Lithographic Process Conditions Using a Genetic Algorithm
Improved Mask and Source Representations for Automatic Optimization of Lithographic Process Conditions Using a Genetic Algorithm
Intelligente Batterien durch integrierte Spannungswandler
Investigations into the Wear of a WL10 Ion Source
Ion Sputtering at Grazing Incidence for SIMS-Analysis
Mikroelektronik - Schlüsseltechnologie unserer Zeit
MOCVD of Conductive Cubic HfN Thin Films From Hf(NR2)4 and N,N-Dimethylhydrazine
Modeling of Industrial Bulk Crystal Growth - State of the Art and Challenges
Modellierung der Transienten Diffusion und Aktivierung von Bor während der Ausheilung nach einer Ionenimplantation
Nanoelectronics at the Fraunhofer IISB and the University in Erlangen
Nanotechnology in the Fraunhofer Microelectronics Alliance (VµE)
Neuartige aktive Sicherungsbauelemente auf Silicium-Basis
Numerische Simulation neuartiger Bauelemente für den 45nm CMOS Technologieknoten und darüber hinaus
Optimierung der Stickstoffkonzentration in Gatedielelektrika und -elektroden für fortschrittliche CMOS-Technologien
Point Defect Engineering for Ultra Shallow Junction Formation
Scatterometric Measurements on Sub-wavelength Gratings Evaluated by a New Effective-medium Model
SEA-NET - Semiconductor Equipment Assessment (SEA) Migrates to Nano Electronic Technology (NET)
SEMI Integrated Measurement Task Force Europa - Layer Thickness Group: Status Report
SEMI-Integrated Measurement Task Force Report and SEMI E141 Document Approval
Smart-Power Technologien
Smart-Power: Leistungselektronik im Mikrochip
Stempel für Mikrochips
Strukturbreitenbestimmung für die sub 100 nm-Lithographie mittels spektralellipsometrischer Beugungsmessung
Thin HfxTiySizO Films with Varying Hf to Ti Contents as Candidates for High-k Dielecrics
Triple Trench Gate IGBTs
Triple Trench Gate IGBTs
Überlegungen zur Realisierung von Hochvolt MOS-Transistoren im Rahmen eines 0.18µm Standard CMOS-Prozesses
Unit Process Aspects for APC-software Implementation
Wafer Scale Characterization of Interface State Densities Without Test Structures by Photocurrent Analysis
Wafer Scale Characterization of Interface State Densities Without Test Structures by Photocurrent Analysis
2004
3D Simulation of Process Effects Limiting FinFET Performance and Scalability
3D Simulation of Process Effects Limiting FinFET Performance and Scalability
3D-Feature-Scale Simulation of Sputter Etching with Coupling of Equipment Simulation
3D-Feature-Scale Simulation of Sputter Etching with Coupling to Equipment Simulation
3D-Simulation of Ionized Metal Plasma Vapor Depostition
1985 - 2004 Technologie für die Mikroelektronik
A Physically-Based Model for the Spatial and Temporal Evolution of Self Interstitial Agglomerates in Ion-Implanted Silicon
Adaptive Surface Triangulations for 3D Process Simulation
Adaptive Surface Triangulations for 3D Process Simulation
Additional Peaks in Mass Spectra Due to Charge Exchange Events and Dissociation of Molecular Ions During Extraction
Annealing of Aluminium Implanted 4H-SiC: Comparison of Furnace and Lamp Annealing
Ausheilungsuntersuchungen an Aluminium implantierten 4H-SiC Schichten
Boron Interstitial Cluster Kinetics: Extraction of Binding Energies from Dedicated Experiments
Boron-Interstitial Cluster Cinetics: Extraction of Binding Energies from Dedicated Experiments
Computation of Convection and Alloy Solidification With The Software Package CrysVUn
Current Understanding and Modelling of B Diffusion and Activation Anomalies in Preamorphized Ultra-shallow Junctions
Design, Fabrication, and Characterization of a Microactuator for Nebulazation of Fluids
Different Current Conduction Mechanisms Through thin High-K HfxTiySizO Film Due to the Varying Hf to Ti Ratio
E-Learning for Microelectronics Manufacturing
Effect of Oxygen on the Diffusion of Nitrogen Implanted in Silicon
Effective-Medium Model for Fast Evaluation of Scatterometric Measurements on Gratings
Electrical Characterization and Reliability Aspects of Zirconium Silicate Films Obtained from Novel MOCVD Precursors
Electrical Deactivation and Diffusion of Boron in Preamorphized Ultrashallow Junctions: Interstitial Transport and F Co-implant Control
Electrical Properties of Hafnium Silicate Films Obtained from a Single-Source MOCVD Precursor
Ellipsometrie zur Prozessüberwachung in der Halbleiterfertigung
Evaluierung der Anforderung an zukünftige Siliciumscheiben für die Mikro- und Nanotechnologie
Forschungs- und Entwicklungsschwerpunkte des IISB im Bereich der "Advanced Process Control" und der Integrierten Sensorik
Front-End Models for Silicon Future Technology (IST-FRENDTECH)
Future Requirements of Semiconductor Manufacturing Equipment from the Perspective of the Equipment Industry
Genetic Algorithm for Optimization and Calibration in Process Simulation
Genetic Algorithm for Optimization and Calibration in Process Simulation
Hafniumsilikatschichten als Gatedielektrika
Herstellung, Untersuchung und Modellierung von Schottky-Dioden mit ionenimplantierter Randfeldbegrenzung auf Siliciumcarbid
High Temperature Processing for SiC Devices
High-Voltage Extension (VBR < 800V) for Smart-Power SOI-Technologies
Hochvoltbauelemente für Treiber-IC: Simulationen
Integration of Field Emitters into Scanning Probe Microscopy Sensors Using Focused Ion and Electron Beams
Intrinsic Point Defects, Impurities, and their Diffusion in Silicon
Introduction to the Workshop on Airborne Molecular and Organic Contamination in Semiconductor Manufacturing
Investigation of Rapid Thermal Annealed pn-Junctions in SiC
Investigations into the Wear of a WL10 Ion Source
Ion Sputtering at Grazing Incidence for SIMS-Analysis
Ion Sputtering at Grazing Incidence for SIMS-Analysis
ITRS 2003 - Roadblocks und Herausforderungen für die Forschung
Materials Research Society Symposium Proceedings
Materials Science Engineering B 114-115
Materialtransport als Zuverlässigkeitsproblem in Metallisierungssystemen
Measurement Data Evaluation for in Situ Single-wavelength Ellipsometry During Reactive Ion Etching
Mikroelektronik - Schlüsseltechnologie unserer Zeit
Modeling and Simulation
Modeling of Chemical-Mechanical Polishing on Patterned Wafers as Part of Integrated Topography Process Simulation
Modelling of Chemical-Mechanical Polishing on Patterned Wafers as Part of Integrated Topography Process Simulation
Modelling of Chemical-Mechanical Polishing on Patterned Wafers as Part of Integrated Topography Process Simulation
Modelling of the Influence of Schottky Barrier Inhomogenities on SiC Diode Characteristics
Nanofabrication Using Focused Ion and Electron Beams and its Application to Scanning Probe Microscopy Sensors
Nanoimprint
Nanoimprint Lithographie
Neue Gerätetechniken und Produktionsänderungen im Frontend
On the Modelling of Transient Diffusion and Activation of Boron During Post-Impantation Annealing
On the Modelling of Transient Diffusion and Activation of Boron During Post-Implantation Annealing
Optical Characterization of Ferroelectric Strontium-Bismut-Tantalate (SBT) Thin Films
Physical and Electrical Properties of Thin High-k HfxTiySi2O Film with varying Hf to Ti Ratios
Physical and Electrical Properties of Thin High-k HfxTiySizO Film With varying Hf to Ti Ratios
Physical and Electrical Properties of Thin High-k HfxTiySizO Film With varying Hf to Ti Ratios
Polierverfahren in der Halbleiterfertigung
Predictive Simulation of the Formation of Ultra-Shallow Junctions by Non-Amorphizing Boron Implants
Preface to the Special Issue on the EMRS 2004 B Symposium B on "Material Science Issues in Advanced CMOS Source-drain Engineering"
Presentation of UPPER+ Specifications
Programm Overview
Programm Overview
Report on the Progress and Changes in Key Challenges of the ITWG "Yield Enhancement"
Report on the Progress of the ITWG "Yield Enhancement"
Statisches und dynamisches Verhalten des universellen bipolaren Schalters (UBS)
Status UBS, erste experimentelle Ergebnisse
Summary
Summary
Three-Dimensional Simulation of Ionized Metal Plazma Vapor Deposition
Topography Process Simulation
VDE-GMM-Introduction
Vorstellung des neuen Bayerischen Forschungsverbundes für Nanoelektronik (FORNEL)
XML-based Web Services for Integrated Metrology
2003
3D Prozess-Simulation und ihre Anwendung in der Mikro- und Nanoelektronik
3D Prozess-Simulation und ihre Anwendung in der Mikro- und Nanoelektronik
Characterization of charging damage in plasma doping
Corner Effect in Double and Triple Gate FinFETs
Corner Effect in Double and Triple Gate FinFETs
Defect Inspection Method for Quality Control in a Reclaim Line
Devices Physical and Electrical Optimization
Die Entwicklung der Mikroelektronik bis 2015 nach der ITRS (International Technology Roadmap for Semiconductors)
Die Rolle der Technologie: Leading Edge Silicon
Different Ion Implanted Edge Terminations for Schottky Diodes on SiC
Diffusion and electrical activation of indium in silicon
Electrical Characterization and Reliability Aspects of Zirconium Silicate Films Obtained from Novel MOCVD Precursors
Electrical Characterization of Zirconium Silicate Films Obtained from Novel MOCVD Precursors
Electrical Characterization of Zirconium Silicate Films Obtained from Novel MOCVD Precursors
Elektrische Charakterisierung von Zirkonsilikatschichten abgeschieden mittels neuartiger metall-organischer Ausgangsstoffe
ENCOTION A New Simulation Tool for Energetic Contamination Analysis
Entwurfsaspekte zum lateralen Bipolarschalter mit steuerbaren Emitterstrukturen
Figures of Merit for CMOS Switching Speed
Hafnium Titanium Silicate High-k Dielectric Films Deposited by MOCVD using Novel Single Source Precursors
High-Voltage Devices (>600 V) Produced with a Low-Voltage (<150 V) Smart-Power IC-Technology
High-Voltage Devices (>600 V) Produced with a Low-Voltage (<150 V) Smart-Power IC-Technology
Implantation und Ausheilung von SiC
Incorporation of Dielectric Layers into the Processing of III-Nitride Based Heterostructure Field Effect Transistors
Indium in silicon: A study on diffusion and electrical activation
Influence of Antenna Shape and Resist Patterns on Charging Damage During Ion Implantation
Investigation of Implantation-Induced Defects in Thin Gate Oxides Using Low Field Tunnel Currents
Investigation of Lanthanum Contamination from a Lanthanated Tungsten Ion Source
Investigation of Rapid Thermal Annealed p-n Junctions in SiC
Investigation of Rapid Thermal Annealed p-n Junctions in SiC
Ion Implantation and Shallow Junction Formation
Ionenimplantation in Halbleiter - 30 Jahre und kein Ende
Live-Vorführung einer Schaltungsmodifikation“,
Materialbearbeitung mittels fokussierter Ionenstrahlen zur TEM-Probenpräparation und Nanostrukturierung
Materials Processing by Focused Ion Beams for TEM Sample Preparation and Nanostructuring
Modeling and Simulation
Modeling and Simulation
Modellierung und Simulation der Diffusion von Aluminium in Silicium
Modelling of the Influence of the Schottky Barrier Inhomogeneities on SiC Diode Characteristics
Modelling of the Influence of the Schottky Barrier Inhomogeneities on SiC Diode Characteristics
Nanoscale Effects in Focused Ion Beam Processing
Non-destructive characterization of strontium bismuth tantalate films
On the Role of Corner Effect in FinFETs
On The Role Of Corner Effects in FinFETs
Optical characterization of ferroelectric Strontium-Bismuth-Tantalate (SBT) thin films
Quantum Mechanical Studies of Boron Clustering in Silicon
Status UBS, erste experimentelle Ergebnisse
Surface Properties and Electrical Characteristics of Rapid Thermal Annealed 4H-SiC
Technologie-Simulation
Technologiesimulation - von Mathematik und Physik hin zur Anwendung
Technology Simulation for the Nanoelectronics Era
Three Dimensional Simulation of Superconformal Copper Deposition Based on the Curvature Enhanced Accelerator Coverage Mechanism
Through the Gate - Implantationen / Anwendung und Auswirkungen auf die Gateoxid-Zuverlässigkeit
Transient-diffusion effects
Trench Sidewall Doping for Lateral Power Devices
Untersuchung von Aufladungseffekten
Wafer Reclaim in Semiconductor Manufacturing
Zirconium silicate films obtained from novel MOCVD precursors
2002
45 Jahre Leistungshalbleiter: Wie ein Bauelement unsere Alltags-Umwelt verändert hat
A Novel Analytical Model of a SiC MOSFET
AlGaN/GaN-Based MOSHFETs with Different Gate Dielectrics and Treatments
Algorithmen für die dreiecksbasierte dreidimensionale Simulation bewegter Oberflächen in der Halbleitertechnologie
Aluminiumdiffusion
Auf dem Weg zur Siliciumcarbid-Elektronik
Cell Adhesion on Modified Polyethylene
Characterisation of BaxSr1 xTiO3 Films Using Spectroscopic Ellipsometry, Rutherfo¬rd Backscattering Spectrometry and X Ray Diffraction
Characterization of AlxGa1-xN/AlyGa1-yN Distributed Bragg Reflectors Grown by Plasma Assisted Molecular Beam Epitaxy
Current status of models for transient phenomena in dopant diffusion and activation
Current understanding and modeling of boron-interstitial clusters
Determination of Aluminum Diffusion Parameters in Silicon
Development of Enhanced Depth-resolution Technique for Shallow Dopant Profiles
Effect of Barium Contamination on Gate Oxide Integrity in High-k DRAM
Effect of High Temperature Single and Multiple AlN Intermediate Layers on N-polar and Ga-polar GaN Grown by Molecular Beam Epitaxy
Electrical Characterization of Zirconium Silicate Films Obtained from Novel MOCVD Precursors
Entwicklung eines integrierbaren optischen Meßsystems zur Kontrolle der Linienbreiten periodischer sub-Mikrometerstrukturen
Entwicklung eines Modells zur Diffusion von Aluminium in Silicium
Entwurf und Herstellung von integrierten ionensensitiven Feldeffekttransistoren in CMOS-Technologie
First AlGaN/GaN MOSFET with Photoanodic Gate Dielectric
Grundlagen zu einem lateralen Bipolarschalter mit beidseitiger Emittersteuerung
HandMon ISPM: Handling Monitoring in a Loading Station of a Furnace
Herstellung und Abscheidung neuartiger metallorganischer Precursoren für Gatedielektrika
Influence of Antenna Shape and Resist Patterns on Charging Damage During Ion Implantation
Influence of Photoresist Pattern on Charging Damage During High Current Ion Implantation
Influence of Photoresist Pattern on Charging Damage During High Current Ion Implantation
Influence of Surface Treatments on DC-Performance of GaN-Based HFETs in:
Integrierbare laterale Hochvoltdiode (VBR>1000V) in Substrat-Substitutionstechnologie
Investigation of Implantation-Induced Defects in Thin Gate Oxides Using Low Field Tunnel Currents
Leistungshalbleiter mit dotierungskomensierter Driftzone, eine neue Klasse von Leistungshalbleitern
MOCVD of titanium dioxid on the basis of new precursors
Modeling and Simulation
Modeling and Simulation
Modellierung von transienten Effekten bei der Diffusion von Bor in Silicium
Monolithisch integrierte Smart-Power ICs für leistungselektronische Systeme
New Single-Source Precursors for the MOCVD of Higk-k Dielectric Zirconium Silicates to Replace SiO2 in Semiconducting Devices
Phase Noise Amplitude Distribution as Indicator of Origin of Random Phase Perturbation in a Test Oscillator
Phi Scatterometry for Integrated Linewidth and Process Control in DRAM Manufacturing
Plasma induced damage monitoring for HDP processes
Platinum Contamination Issues in Ferroelectric Memories
Properties of vacancies in silicon determined from laser-annealing experiments
Prozesssimulation - Beispiele aus der Siliciumtechnologie
Recombination Lifetimes of Iron-contaminated Silicon Wafers: Characterization through a Single Set of Capture Cross sections
Rückseitenkontaktierte Kohlenstoff-Interdigitalelektroden für bioelektronische Anwendungen
Simulation of the Influence of Via Sidewall Tapering on Step Coverage of Sputter deposited Barrier Layers
Simulationsstudien zu den Kenndaten integrierbarer Hochvolttransistoren für 42 V-Bordnetz Anwendungen
Technologiesimulation - von Mathematik und Physik hin zur Anwendung
Three-dimensional Simulation of the Channel Stop Implant Effects in Sub-quarter Micron PMOS Transistors
Untersuchung von Aufladungseffekten während der Ionenimplantation anhand von MOS-Kondensatoren
Verkohltes Silicium - Neues Halbleitermaterial für die Leistungselektronik
Zirconium Silicate Films Obtained from Novel MOCVD Precursors
2001
A reduced approach for modeling the influence of nanoclusters and {113} defects on transient enhanced diffusion
Amino acids grafting of Ar+ ions modified PE
Barium, Strontium and Bismuth Contamination in CMOS Processes
Chemische Dampfphasenabscheidung von Materialien mit hoher Dielektrizitätskonstanten am Beispiel Barium-Strontium-Titanat
Electrical reliability aspects of through the gate implanted MOS structures with thin oxides
Experimental and Numerical Study of the CVD of TiO2 using New Titanium Precursors
Fabrication of silicon aperture probes for scanning near-field optical microscopy by focused ion beam nano machining
High-resolution Constant-height Imaging with Apertured Silicon Cantilever Probes
Impact of Platinum Contamination on Ferroelectric Memories
In-line Failure Analysis on Pruductive Wafers with Dual Beam SEM/FIB
Integrated metrology: An enabler for advanced process control (APC)
Laterale Hochvolt-Bauelemente in SOI-Technologie: neue Gesichtspunkte zu einem alten Bauelementtyp
Limitations of focused ion beam nanomachining
MOCVD of Titanium Dioxide on the Basis of New Precursors
New Materials in Future Memories: High Temperature Behaviour of Sr, Bi and Ir on Silicon Surfaces Monitored by TXRF and ELYMAT
Proceedings of the 12th International Conference on Ion Implantation Technology
Proceedings of the 31th European Solid-State Device Research Conference
Recombination lifetimes of iron contaminated silicon wafers: Characterization through a single set of capture cross-sections
Reliability of ultra-thin N20-nitrided oxides grown by RTP under low pressure in different gas atmospheres
Smart-Power IC-Technologien für >ca. 200 V Spannungsfestigkeit
Some aspects of the high-temperature behavior of bismuth, strontium and barium on silicon surfaces studied by total reflection X-ray fluorescence spectrometry
Suppression of boron penetration through thin gate oxides by nitrogen implantation into the gate electrode
Tungsten, Nickel, and Molybdenum Schottky Diodes with Different Edge Termination
Tungsten, Nickel, and Molybdenum Schottky Diodes with Different Edge Termination
Untersuchung von Punktdefekten in Silicium mit Hilfe der Platindiffusion
2000
A Computationally Efficient Method for Three-Dimensional Simulation of Ion Implantation
Adhesion and proliferation of keratinocytes on ion beam modified polyethylene
Aspects of Barium Contamination in High Dielectric Dynamic Random Access Memories
Contamination Control for Ion Implantation
Contamination Control for Ion Implantation
Defects and Gallium-Contamination During Focused Ion Beam Micro Machining
Development of an Ultraviolet Photodetector and a Compact Ozone Absorption Photometer
Diffusion (IMEC Short Course)
Diskretisierung und Gittergeneration für die mehrdimensionale Simulation von Implantation und Diffusion
Dotierung von 4H-SiC durch Ionenimplantation
Dreidimensionale analytische Simulation der Ionenimplantation
Electrical Reliability Aspects of Through the Gate Implanted MOS-Structures with Thin Oxides
Enhanced Depth-Resolution Analysis with Medium Energy Ion scattering (MEIS) for Shallow Junction Profiling
Field emitter array fabricated using focused ion and electron beam induced reaction
Gate Oxide Damage Due to Through the Gate Implantation in MOS-Structures with Ultrathin and Standard Oxides
HECTOR 300 - deposition of high-k material
Investigation of Molybdenum Contamination in 11B+ and 31P+ Implants
Ion Implantation
Modeling the amorphization of Si due to the implantation of As, Ge, and Si
Modeling the pH response of silicon nitride ISFET devices
Modellierung der Diffusion von Aluminium in Silicium
Modelling of Intrinsic Aluminum Diffusion for Future Power Devices
Monte Carlo Simulation and Modeling of Ion Implantation Induced Contamination Profiles
Phosphorus Ion Shower Implantation for Special Power IC Application
Reliability and Degradation of Metal-Oxide-Semiconductor Capacitors on 4H- and 6H-Silicon Carbide
Safety Considerations for Ion Implanters
Safety Considerations for Ion Implanters
Smart-Power IC-Technologien: Verwirrende Vielfalt oder klare Systematik?
Vacacny-Nitrogen Complexes in Floar-Zone Silicon
Wafer Conserving Full Range Construction Analysis for IC Fabrication and Process Development based on FIB / Dual Beam Inline Application
1999
AFM and STM Investigation of Carbon Nanotubes Produced High Energy Ion Irradiation of Graphite
An Analytical Model for Beam Induced Contamination in Ion Implantation
Carbon Nanotubes Produced by High Energy (E>100MeV) , Heavy Ion Irradiation of Graphite
Comparisation of Beam-Induced Deposition Using Ion Microprobe
Extraction of vacancy parameters from outdiffusion of zinc from silicon
Forming Nitrided Gate Oxides by Nitrogen Implantation into Substrate before Gate Oxidation by RTO
Impurity Incorporation during Beam Assisted Processing Analyzed Using Nuclear Microprobe
Investigation of Cu Films by Focused Ion Beam Induced Deposition Using Nuclear Microprobe
MOCVD of Ferroelectric Thin Films
Modeling of Transient Enhanced Dopant Diffusion by Using a Moment-Based Model Describing Point-Defect Clustering
Nano-slit probes for near-field optical microscopy fabrcated by focused ion beams
On the influence of boron-interstitial complexes on transient enhanced diffusion
Scanning Probe Method Investigation of Carbon Nanotubes Produced by High Enery Ion Irradiation of Graphite
Simulation of a Coating Protection for an In Situ Ellipsometer in a CVD Furnace
The influence of surface oxidation on the pH-sensing properties of silicon nitride
1992
Simulation of high energy implantation profiles in crystalline silicon
UnivIS ist ein Produkt der
Config eG
, Buckenhof